半導體設備高光時刻來臨,景氣度有望拉滿第三年

2021年和2022年是全球半導體設備行業的高光時期。2021年高漲的設備需求由景氣的下游市場和需求旺盛的中游晶圓製造共同支撐。

轉至2022年,中游晶圓大廠不謀而合的「逆週期擴產熱」降低了下游市場需求不振對上游設備的影響,多數廠商景氣度持續,設備行業儼然成為半導體產業中業績確定性最強的細分領域。

這兩年也是本土設備廠商難得一遇的黃金發展期。半導體設備研發週期長、投入大,並且需要較長的試錯期。我國許多老牌設備企業已在行業內摸爬滾打十幾年,在許多細分領域實現了關鍵技術的突破,當下打開國內外市場正缺這樣一個契機。

在此機遇期,本土廠商將會迎來哪些突破呢?現下半導體領域正值下行週期,是否會對本土設備業產生一定影響?本文將結合最新企業半年報以及我國2022年1-8月的設備開標中標情況一探究竟。

背靠晶圓製造

設備廠商景氣度連漲兩年

按照工藝流程劃分,半導體設備可分為晶圓製造(前道設備)和封裝、測試設備(後道設備)。

前道晶圓製造設備佔據了市場80%以上的份額,設備類型主要包括薄膜沉積、曝光機、刻蝕機、熱處理設備、離子注入機、CMP(化學機械研磨)設備等。其中,薄膜沉積、光刻、刻蝕是半導體設備的三大件,價值含量最大。

全球半導體觀察根據公開資料製圖

△全球半導體觀察根據公開資料製圖

半導體設備的增長與晶圓廠的擴張緊密關聯,晶圓廠擴產的資本支出中約有70%-80%是用於購買半導體設備。全球半導體觀察根據公開資料不完全統計,2022年全球半導體資本支出將超過1800億美元。

結合SEMI發佈的最新報告,2021年全球半導體制造設備銷售額增至1026億美元的歷史新高,年增44%,中國再次成為全球最大的半導體設備市場,並預計2022年全球晶片廠設備支出總額將至990億美元,同比增長約9%。

當下正值下行週期,支撐設備行業的晶圓製造動力在近期出現了一絲變動跡象,主要體現在部分晶圓大廠延緩擴產計劃,削減部分還未開始的資本開支等。業界對於設備行業景氣度還能持續多久產生了些許疑問。

從晶圓製造前景看,近期許多大廠紛紛表示,當前的擴產行為不僅僅是為了緩解短期的晶片短缺問題,更是適應未來長期的市場需求。雖然當下電子消費市場疲軟,但未來雲、伺服器、高性能運算、車用與工控等領域高速發展,未來市場對於晶圓製造這塊蛋糕的需求只會越來越大,頭部企業對其發展前景較為看好。由此來看,支撐半導體設備的這塊強力後盾還將持續發力,設備景氣度還有望延續。

聚焦近兩年財報

國產替代超長待機中

2021年度國內設備廠商經營業績十分亮眼,營收創歷史新高比比皆是。近期國內主要設備廠商的半年報資料也已陸續開出,景氣度持續,多家大廠利潤一度破百分之百。

總體情況看,近半企業營收同比增長均超50%。營收方面,北方華創、中微公司、長川科技、至純科技、盛美上海、華海清科營收佔據前六,其中北方華創以54.44億元營收居於榜首。在同比增長率上,華海清科、拓荊科技、長川科技、華峰測控、盛美上海保持較高水準,華海清科連續兩年同比增長超過百分百,拓荊科技今年則實現364.87%的同比增長,充分收益本次機遇,營收達5.23億元。

在財報資料中,各大設備廠的合同負債率十分值得關注。通常來講,半導體設備生產交付、驗收均需要3-6個月左右的時間週期,因此訂單反映到公司收入上一般需要6-12個月的時間。半導體設備的客戶通常會預先支付一部分訂金,形成合同負債。從財報分析角度看,合同負債能在一定程度上反映設備廠商的在手訂單情況。

從上表可知,在合同負債方面,北方華創、中微公司、拓荊科技、萬業企業、華海清科分別為57億、16億、10億、9.07億、11億,上述公司在未來6—12個月裡在手訂單飽滿。

近兩年設備成為香餑餑的重要原因,還在於設備缺芯缺部件,致使設備交期不斷延長。但結合我國設備廠商交付情況看,他們受到該因素的影響比國外廠商小,這也推動了國內廠商在近兩年裡快速出圈。具體來講,北方華創和中微公司等企業超額採購保障供給,以及重視本地產業鏈條搭建、加強國產替代等方法對營收收效較大。

以北方華創為例,根據其財報資料顯示,2021年其採購總額為176億元,為該年50億原材料成本的3倍之多,而今年上半年,北方華創的存貨持續創新高,表明該公司在手訂單充沛,下游需求依舊旺盛。

此外,顧慮於全球設備供應鏈的不確定性,我國半導體企業將更多目光投向本地零部件及設備供應鏈,本土廠商藉此獲得更多的驗證機會。

據中微公司訊息,其在全球共有700餘家供應商,活躍的有450餘家。其刻蝕機的零部件國產化程度達60%,MOCVD設備國產化情況達80%。官方訊息顯示,其較高的國產化程度,是中微公司在材料費漲價、運輸時間推遲的情況下,至今仍保持100%的產品運出和準時的關鍵。

各細分領域翹楚紛紛出圈

平臺型企業轉型加速

從整體類別上看,國產設備基本可以覆蓋到半導體制造的各階段所需,在製造實力上,我國在刻蝕、清洗、薄膜沉積設備方面較為突出,尤其是我國的刻蝕設備,已經成長為我國最具優勢的半導體設備領域,逐漸進入到成熟期。而在CMP、光刻、離子注入等設備上,我國仍較為薄弱。

首先看刻蝕設備,目前我國刻蝕設備代表企業主要是北方華創、中微公司、屹唐半導體和嘉芯半導體。其中,中微公司的CCP刻蝕設備已進入一線晶圓代工廠商5nm產線,而在3D NAND晶片製造方面,中微公司的CCP刻蝕設備可應用於64層堆疊晶片的量產,目前正在開發96層及更先進的刻蝕設備。近年來,由於中微公司較高的刻蝕技術話語權及成本控制能力,其利潤率水平連年上升,2019年至2021年,其刻蝕設備毛利率分別為34.93%、37.67%、44.32%,今年上半年則為46.05%。

在薄膜沉積設備上,我國的代表企業為拓荊科技和北方華創。其中,拓荊科技是國內唯一一家產業化應用的積體電路PECVD(等離子增強化學氣相澱積)設備廠商,其PECVD設備產品已適配國內先進的28/14nm邏輯晶片、19/17nm DRAM晶片及64/128層3D NAND FLASH晶圓製造產線。目前拓荊科技正以PECVD設備為核心並加快發展更先進的ALD(原子層沉積)和SACVD(次常壓化學氣相沉積)設備。

相比於其它半導體設備,清洗設備的技術門檻較低,我國廠商在此領域發展迅速,國產化率上升明顯該領域代表廠商盛美上海、北方華創、芯源微、至純科技產品各具特色,這四家廠商目前持差異化競爭佈局。其中,盛美上海成功研發出全球首創的SAPS、TEBO兆聲波清洗技術和Tahoe單片槽式組合清洗技術等,可應用於45nm及以下技術節點的晶圓清洗領域。

根據中國國際招標網公開資料統計顯示,我國半導體清洗設備國產化率為25%左右,其中盛美上海市場份額為23%。另外值得一提的是,盛美上海於今年7月中旬推出了新型化學機械研磨後(Post-CMP)清洗設備,業界對此較為關注。

而在塗膠顯影設備上,芯源微是國內主要供應商,該公司前道塗膠顯影設備在28nm及以上工藝節點的多項關鍵技術方面取得突破,其最新的前道KrF塗膠顯影機顯示也在今年上半年實現批量銷售。官方訊息顯示,當前芯源微在國內前道塗膠顯影市場的市佔率為4%左右。

在其他設備細分領域,國產設備企業也有所突破,如華海清科的CMP設備成功填補國內空白,其推出了國內首臺擁有核心自主智慧財產權的12英寸CMP設備並實現量產銷售;晶盛機電則在晶體生長設備見長,近日該公司表示,公司已成功生長出行業領先的8英寸碳化矽晶體,並建設了6英寸碳化矽晶體生長、切片、拋光環節的研發實驗線。

此外,未上市與申請上市中的屹唐半導體、上海微電子也值得關注。屹唐半導體憑藉較高的全球市佔率在全球幹法去膠設備市場確立了其國際領先的地位。近日,其通過科創板上市委會議,這意味著其距離上市又進了一步;上海微電子則專攻曝光機,其技術在中低端領域已達相對較領先水平,產品已實現90nm製程覆蓋。

眾多設備領域中,曝光機可以說是我國半導體設備的薄弱之地,除了上述的上海微電子外,我國還有華卓精科和國科精密等可以生產曝光機零部件。總體來講,我國曝光機水平與曝光機巨頭ASML、佳能、尼康差距較大。當代曝光機代表著晶片性能的先進性,其是我國實現高科技發展自主可控的關鍵因素之一,因此在該領域取得技術突破是我國未來半導體發展的必然舉措。

目前,我國半導體設備市場依舊非常依賴進口,較多設備企業仍在單一細分市場上集中度較高。但值得關注的是,國內已有部分企業正依託於主營業務的發展在橫向拓展業務範圍,向平臺型企業轉型。

建設平臺型廠商是設備廠商發展的潮流趨向,國際前五大廠商中應用材料、泛林半導體、東京電子均為平臺型廠商,其涉足領域涵蓋刻蝕、薄膜、清洗、離子注入等多方面,實力不言而喻。而以前道設備大類統計,目前我國半導體設備廠商產品覆蓋度較高的廠商主要有北方華創、中微公司、盛美上海、屹唐半導體等。

其中北方華創作為我國較為成熟的平臺型企業,產品覆蓋了薄膜沉積設備、刻蝕設備、清洗機、氧化擴散爐等,國產化率在近年顯著上升。此外,還有中微公司從刻蝕及化合物半導體外延設備延展到積體電路薄膜設備;萬業企業從離子注入設備延展到其嘉芯半導體子公司,覆蓋除曝光機之外的幾乎全部前道大類;盛美上海從清洗,電鍍等業務逐步覆蓋,爐管,沉積及其他前道品類;屹唐半導體從幹法去膠設備向快速熱處理設備、幹法刻蝕設備其他工藝段設備發展等等。

觀招標中標資料

設備廠商景氣度還能持續多久?

國內設備市場的景氣度直接反映在設備招標中標資料上,通過對中國國際招標網招標情況進行梳理,可明顯發現國內設備國產化率有所提高。但近期受到下游消費市場驅動力不足影響,晶圓製造廠的資本開支計劃有所放緩,近兩個月的設備招標中標節奏也有所放緩。

據全球半導體觀察根據公開資訊不完全統計,2022年1—8月我國主要晶圓廠共開標906臺工藝設備。按照不同工藝設備開標數量從高到低排列,前五名分別爐管設備(165臺)、薄膜沉積設備(152臺)、刻蝕設備(103臺)、清洗設備(100臺)、前道檢測設備(80臺)。其中,上海積塔半導體、華虹半導體、燕東微電子、杭州積海半導體這四家企業招標設備數量較多。

而結合中標資料看,2022 年上半年我國主要設備廠共中標469臺工藝設備。前五名分別為清洗設備(113臺)、刻蝕設備(97臺)、薄膜沉積設備(47臺)、爐管(45臺)、塗膠顯影設備(36臺)。中標較多的國內廠商為北方華創、中微公司、拓荊科技、芯源微、盛美上海、嘉芯半導體。

綜合資料看,國內設備廠商在薄膜沉積、刻蝕、溼法清洗、塗膠顯影等環節上佔據優勢。其中,在刻蝕設備上,北方華創和中微公司上半年合計中標超70臺,遠超泛林半導體與東京電子總和。根據此前中微公司創始人尹志堯預計,在刻蝕設備領域,未來國產率有望達到50%。

此外結合國內晶圓廠建設以及設備開標資料看,國內企業越來越重視本土半導體產業鏈安全的搭建,近兩年產業鏈上下游之間的聯繫愈發緊密,隨著本土晶圓廠的持續擴建,本土設備廠商國產化率有望持續提高,景氣度依舊。

總體來看,我國設備廠商在近兩年迎來了發展的黃金時期,在薄膜沉積、刻蝕、清洗、塗膠顯影、拋光等領域多點開花,國產化率有所上升,並帶動了零部件在內的相關產業迅速發展。

結 語

總體來看,全球半導體市場正步入下行週期,下游市場需求萎縮明顯,低迷期還將持續一段時間。

從全球視野看,中游製造晶圓擴張大趨勢不變,國際設備廠商對於未來一年內發展預期看好。但迴歸本土市場,我國半導體設備企業的高速發展十分需要國內市場上下游產業鏈的協同支持發展,當下正值國產替代的關鍵期,本土設備廠商更需要嚴陣以待。

高技術壁壘驗證了那句「有技術便不怕餓死」的老話,當下全球設備廠商競爭激烈,本土設備廠商要想脫穎而出更需要加強研發,發力技術才能贏取更廣大的市場。